HomeGermany-Startups59 Top Semiconductor Startups and Companies in Germany

59 Top Semiconductor Startups and Companies in Germany

This article showcases our top picks for the best German-based semiconductor companies. These startups and companies are taking a variety of approaches to innovating the Semiconductor industry, but are all exceptional companies well worth a follow.

We meticulously selected companies ranging from cutting-edge startups to established brands based on their outstanding performance in the following categories:

  • Innovation: Innovative ideas, innovative route to market, innovative product
  • Growth: Exceptional growth, exceptional growth strategy
  • Management, diversity and/or societal impact

Top German innovators focusing on semiconductors

Data sourced from Crunchbase and SemRush.

Infineon Technologies – Infineon Technologies offers semiconductor solutions, microcontrollers, LED drivers, sensors and Automotive & Power Management ICs. They offer semiconductors and system solutions for automotive and industrial electronics, chip card and security applications, as well as applications in communications.

OQmented OQmented develops, integrates, and sells complete laser scanning solutions composed of MEMS chip, driver electronics, application specific system electronics, and software. OQmented is a spinoff from Fraunhofer Institute for Silicon Technology ISIT in Itzehoe (Germany).

Sicoya – Sicoya offers its customers power efficient and scalable optical transceiver chips for server interconnects. Founded in January 2015, the company is a spin-off from TU Berlin based on continuous R&D in Silicon Photonics. They entered the market for data center interconnects in 2017 with a 100G transceiver chip with integrated electronics and optics on a single chip.

SenoricsSenorics is manufacturing and designing optical spectroscopic sensor solutions for industrial applications. Their products and services include customised sensors, corresponding software and calibration models.

Ferroelectric Memory Company – Ferroelectric Memory Company solves one of the most important hardware challenges in the age of Internet-of-Things. FMC commercialises a disruptive material innovation for current and future technology nodes, i.e. eNVM based on ferroelectric hafnium oxide (FE-HfO2).

Inuru – Inuru develops and produces active packaging and labels that can light up, warn and soon display video. They deliver this in the form of low cost OLED labels to customers like CATTIER, COCA COLA and DISPLATE and will launch products in the field of Clothing and Medical Applications.

Silicon Radar – Silicon Radar designs and delivers millimetre wave integrated circuits. As a so called “fabless company” they provide millimetrewave IC’s (MMIC’s). Development, testing, assembly and sales – all from one source – Silicon Radar.

Siltectra – Siltectra is a high-tech company specialised in wafer treatment technologies, wafering and thinning. Kerfless wafering by SILTECTRA is a platform technology which can be used in a wide variety of industries and for many different materials. The company was acquired by Infineon Technologies.

Codasip – Codasip simplifies the customisation of RISC-V processors and toolchains. They refer to themselves as Europe’s leading RISC-V company with a global presence, given that billions of chips already use their technology.

Eagleyard Photonics – Eagleyard Photonics GmbH is a technology company that engages in the design, development, and manufacture of semiconductor laser diodes. The company offers Multimode Single Mode, Single Frequency Laser Diodes, Tapered Amplfier, and Gain Chipsfor use in display/imaging systems, medical equipment, measurement/ instrumentation, and research applications.

nextnano nextnano is a leading provider of advanced simulation software for the nanoelectronics industry. Their state-of-the-art technology is designed to empower researchers and engineers to optimize advanced nanostructures with ease.

CONDIAS – CONDIAS is a technology and quality market leader in the production and application of diamond-coated electrodes.  The technology allows an environmentally friendly process for the degradation of pollutants from water and for thedisinfection of water systems, without the use of chemicals.

Micropelt – Micropelt (a brand of EH4 GmbH) is a leading provider of wireless, battery- and maintenance-free actuators used for single heating radiator control. Heating cost as well as CO2 reductions combined with increase in end user comfort are the primary goals of smart home and professional building automation systems.

L-Bank – The L-Bank is committed to ensuring a high-performing economy, a high quality of life, and a secure future. L-Bank is for individuals who want to gainfully employ their strengths or need support to develop them, for businesses that create jobs through their commitment, and for communities seeking to improve the quality of their inhabitants’ lives.

ancosys GmbH – ancosys (now part of Nova) provides automated equipment and expertise to control chemical-based manufacturing processes around the globe. They understand that any production process needs to be reliable and predictable – to be not only monitored, but controlled.

Siltronic – Siltronic is a producer of semiconductor silicon wafers including 200 mm and 300 mm wafers. Employing a workforce of around 3,600 members of staff, Siltronic commands a global network of advanced, leading-edge production plants in Asia, Europe and the US.

SMA Solar Technology – SMA Solar Technology is a German solar energy equipment supplier. They are one of the only inverter manufacturers worldwide that offer the right inverter for every module type and system size; for small residential systems, medium-sized commercial systems and large-scale plants; grid-connected photovoltaic systems; and off-grid and hybrid systems.

Kiutra – Kiutra’s mission is to provide their research and industry customers with easy-to-use, turn-key cryostats that give them the time to focus on their work rather than on their cryostats. They facilitate low-temperature investigations of material samples and accelerate the development and testing of quantum hardware and related electronics by means of fast-cooling tools and innovative sample exchange mechanisms.

T2M-IP – T2M-IP supplies complex system level semiconductor Digital & SW & RF IP Cores, KGD and Disruptive technologies enabling accelerated development of IoT, wireless and consumer electronics devices. T2M’s unique SoC White Box IPs are the design database of production chips supplied as source code and Wafers/Chips for immediate market entry.

OneSpin Solutions – OneSpin Solutions is a provider of certified IC integrity verification solutions for building correct, safe, secure and integrated circuits. These solutions are based on OneSpin’s widely used formal verification technology and assure the integrity of SoCs, ASICs, and FPGAs.

X-FAB Semiconductor Foundries – As a leading foundry group for analog/mixed-signal semiconductor applications, X-FAB creates a clear alternative to typical foundry services by combining solid, specialised expertise in advanced analog and mixed-signal process technologies with excellent service, a high level of responsiveness and first-class technical support.

Neutron Star Systems – Neutron Star Systems is a leading market enabler for high power space missions. They develop disruptive and robust electric propulsion (EP) systems and subsystems based on the latest superconductor technology.

EBV Elektronik – An Avnet (NYSE: AVT) company, EBV Elektronik was founded in 1969 and is a leading specialist in EMEA semiconductor distribution. Their services include warehouse operations, complete logistics solutions and value-added services such as programming, taping and reeling and laser marking.

videantis – videantis is a one-stop computer vision and video processor IP provider. With its processor IP, hardware/software-based solutions for deep learning, computer vision, image processing and video coding, as well as its development tools, videantis globally supports semiconductor manufacturers, automotive OEMs and tier 1 suppliers together with customers in other high-volume embedded markets.

SUSS MicroTec – SUSS MicroTec is a leading supplier of equipment and process solutions for microstructuring in the semiconductor industry and related markets. In close cooperation with research institutes and industry partners, they contribute to the advancement of next-generation technologies such as 3D Integration and Nano Imprint Lithography, as well as key processes for MEMS and LED manufacturing.

Aixtron SE – AIXTRON SE is a provider of deposition equipment to the semiconductor industry. AIXTRON’s business activities include developing, producing and installing equipment for the deposition of semiconductor and other complex materials, process engineering, consulting and training, including ongoing customer support and after-sales service.

Solvis – Solvis manufactures solar heating systems, offering solar collectors, brine/water heat pumps, air/water heat pumps, and pellet heating systems, and a web-based remote control solution. They provide its products for applications in multi-family houses, hotels/pensions, sports facilities, and care facilities.

PMD technologies – PMD is a leading fabless IC supplier for Time-of-Flight 3D image sensors. pmd ToF imagers meet the highest specifications in terms of stability, integrated functionality and efficiency. Their main target markets consumer, automotive and industrial automation profit from the scalability of the technology in terms of size, cost, pixel resolution and  measurement range.

conductiv.ai – conductiv.ai combines machine learning with physics-based simulation to maximise production yield for precision manufacturing while minimising the amount of trial-and-error. Conductiv.ai is supported by Bosch, imec and Rockstart.

iC Haus GmbH – iC-Haus GmbH is a manufacturing company that specialises in iCs (ASSP) and customised ASiC semiconductor solutions. The iC-Haus cell libraries in CMOS, bipolar, and BCD technologies are fully equipped to realise the design of sensor, laser/opto, and actuator ASiCs.

SiC Processing – SiC Processing GmbH is a service provider for the conditioning of used slurry from the photovoltaic and semiconductor industries. They specialise in preparing cutting fluids that are used to produce wafers for the photovoltaic and semiconductor industries.

Dream Chip Technologies – Dream Chip Technologies is an independent engineering service provider with a cutting edge focus on the development and design. They are experts in turnkey solutions from specification to production and delivery- including embedded Linux or Android based board support packages (BSP), Linux or Android driver development or porting and high-speed PCB design with all necessary qualifications like CE and FCC.

TraceTronic – TraceTronic develops software with the special focus on test automation, test sequence generation, and test documentation. They accompany the development of control-unit-software from requirements definition, over functional and technical component design, to programming.

Fabmatics GmbH – Fabmatics GmbH, with its headquarters in Dresden, is an experienced provider of Industry 4.0 solutions, in particular for the automation of material flows and handling processes in high-tech production environments.

Lumics – Lumics is specialised in manufacturing high-performance semiconductor laser components and subsystems. They offer in-house capabilities range from chip level up to fiber-coupled diode laser modules and turn-key laser systems based on single emitter technology.

INOVA Semiconductors – INOVA Semiconductors is a fabless semiconductor manufacturer based in Munich, Germany. The company was founded in 1999 and specialises in high-performance products for serial data communication with gigabit/s data rates.

Possehl Electronics – Possehl Electronics engages in developing and producing highly complex electromechanical precision components for the microelectronic and automobile industry. For over 40 years Possehl Electronics has been helping to fulfill the demands of the end customer, as a reliable and resourceful system supplier for the automotive industry.

Pac Tech – Packaging Technologies – Pac Tech – Packaging Technologies provides wafer bumping, packaging, and solder ball placement equipment. There is virtually no damage to substrates using their patented contactless method of applying solder-balls to fine and thin materials such as those used in hard disk drive head applications.

Cleanpart Group – Cleanpart Group is an industrial services company for the semiconductor industry and related industries. Their main focus
is the coating, cleaning, repair, design, redesign and supply of recyclable parts in particle-sensitive production environments. They enable our clients to achieve superior performance with their equipment.

Concept Engineering – Concept Engineering provides visualisation and debugging technology for electronic circuits and systems. Their solutions help design engineers to easily understand, debug, optimise and document electronic designs.

Muegge – Muegge is a developer, manufacturer and provider of plasma sources, industrial heating systems. As a leading manufacturer of industrial microwave and microwave-assisted plasma components and systems, they develop components that are reliable, cost-efficient and sustainable.

Digatron Power Electronics – Digatron Power Electronics develops and manufactures computer-controlled test and formation equipment for all kinds of batteries, ranging from batteries for mobile phones to automotive batteries to huge submarine batteries.

ELEMENT 3-5 GmbH – ELEMENT 3-5 GmbH, based in Baesweiler, is a technology source for the semiconductor industry. The product spectrum ranges from production systems based on the novel low-temperature epitaxy to ion and plasma sources for a wide variety of applications in semiconductor technology.

Sempa Systems – SEMPA stands for SEMiconductor PArtnership. Sempa Systems designs and manufactures stainless steel Gas and Chemical delivery systems, offering solutions for high-purity gases and precursor chemicals.

MSG Lithoglas – MSG Lithoglas commercialises innovative electronic packaging technology for the semiconductor industry. The company’s technology is based upon a low temperature physical vapour deposition (PVD) process that enables micro-structured glass layers as hermetic barriers on wafers, which leads to a significant reduction of downstream packaging processes.

Missing Link Electronics – MLE was founded in 2010 by a group of seasoned business experts and technologists. Since then, MLE has been gaining reputation as an emerging technology company which develops and markets dependable solutions for the realisation of connected embedded systems.

RSG Elotech Elektronische Baugruppen – RSG Elotech GmbH is an electrical/electronic manufacturing company, offering a wide range of specialisations and trainings, in the fields of electronic manufacturing Services (wires, cables and steel industry).

Nanotech Digital – Nanotech Digital develops graphene technology and graphene applications. They distribute equipment and components in Europe such as Graphene Application, Dry Pump energy saving products, X-ray Detector Film manufacturing equipment, and Sputter for LED process & packaging process, SiC Components, 3D Xray inspection system and tabletop sem.

Plan Optik – Plan Optik is a manufacturing company that provides customised glass wafers, glass-silicon compounds and quartz for various industries. They provide carrier chemical release, adapter carrier, glass interposer, glass vias, and redistribution layer products.

Ineltek – Ineltek is an independent European distributor of electronic components with a Passion for Innovation and a Commitment to Service. Ineltek is able to provide almost all the components for an application from a single source.

H.C. Carbon GmbH – H.C. Carbon develops and distributes a range of carbon- and dispersion-based products to its clients. The company’s product portfolio consists of conductive additives, flame retardants, insulating, shielding, and lubricants.

EPIGAP Optronic – EPIGAP Optronic GmbH manufactures semiconductor technology for production of LED chips and photodiode chips.The product range has been extended and further sharpened with LEDs, SMDs, photodiodes and customer-specific CoB modules in series of small and medium quantities.

Advanced Mask Technology Center GmbH & Co. KG – The AMTC is a world-leading centre for the development and production of photolithography masks, established in Dresden in 2002. The high-quality product portfolio features photomasks for use in both 193nm dry and immersion lithography for single and multiple exposures as well as EUV lithography.

AES motomation – AES motomation specialises in automated material handling equipment and services for the semiconductor and flat panel display markets. They provide innovative handling solutions for both the semiconductor and flat panel display markets, specialising in replacement or upgrade of current module(s).

Resonic – Resonic specialises in accurate, reliable, and user-friendly solutions for mass property measurements. Mass properties consist of the mass, centre of gravity coordinates, as well as the moments and products of inertia, and are needed to characterise the dynamic behaviour of mechanical structures such as racing cars, motorcycles, satellites or engines.

QSIL – QSIL is develops, manufactures, and sells fused quartz products for semiconductor, lamp, chemical, fiber optics, photovoltaics. The proprietary and unique plasma fusion process has significant cost advantages over traditional multi-stage production processes.

Micram – Micram is a pioneering leader in very-high-performance bipolar silicon. Their focus is on power-saving high-speed, high-accuracy, and high-functionality applications in Si, SiGe, III-V (InP, GaAs)bipolar technologies.

Altec Computersysteme – Altec Computersysteme has been offering international industrial customers special memory solutions and professional copying systems for flash memory media since 1992. They offer a selection of well-known manufacturers and analyse their actual needs.

By the way: If you’d like to stay up-to-date regarding startup news and interesting opportunities, make sure to subscribe to our free weekly EU-Startups Newsletter.

- Advertisement -
Mark Kendall
Mark Kendall
Mark Kendall was an editorial contributor to BestStartup.eu, a publication which EU-Startups acquired in October of 2023.
RELATED ARTICLES

Most Popular